276°
Posted 20 hours ago

Zen 2023 Wall Calendar

£9.9£99Clearance
ZTS2023's avatar
Shared by
ZTS2023
Joined in 2023
82
63

About this deal

Jul 22nd: Updated AMD Zen 4 / Ryzen 7000, Updated Intel Raptor Lake, Updated Intel Meteor Lake, Updated NVIDIA Ada / GeForce 40 www.techpowerup.com/298397/intel-meteor-lake-can-play-videos-without-a-gpu-thanks-to-the-new-standalone-media-unit Includes low-latency Forward Error Correction (FEC) with additional mechanisms to improve bandwidth efficiency

Jun 10th: Updated AMD Zen 4, Added AMD Zen 4 APUs, Updated AMD Zen 5, Updated Intel Raptor Lake, Updated Intel Meteor Lake, Updated Intel Arrow Lake, Updated Intel Sapphire Rapids, Added Intel Rialto Bridge, Updated NVIDIA Ada Architecture, Added NVIDIA GeForce GTX 1630, Updated AMD RDNA3 Architecture, Added AMD RDNA4 Architecture, Added AMD CDNA3 Architecture, Updated Intel DG2 / Arc Alchemist, Updated Zen 4 Chipsets, Updated HBM3 Memory, Added Micron 232-layer NAND flash, Updated Toshiba / WD 162-layer NAND flash, Updated PCIe 5.0 SSDs, Updated USB 4.0, Removed launched products: Radeon RX 6x50 XT Series www.techpowerup.com/270718/intel-readies-atom-grand-ridge-24-core-processor-features-pcie-4-0-and-ddr5New physical layer with PAM4 (pulse amplitude modulation) signaling replacing NRZ (non-return to zero) Feb 24th: Updated AMD Zen 4 7000X3D, Updated AMD Zen 4 APUs, Added Intel Raptor Lake Refresh, Updated Intel Meteor Lake, Updated Intel Arrow Lake, Added Intel Emerald Rapids, Updated Intel Granite Rapids, Added Intel Sierra Forest, Added Intel Lunar Lake, Added NVIDIA RTX 4060 Ti, Updated NVIDIA RTX 4070, Updated NVIDIA RTX 4090 Ti, Updated AMD RDNA4, Added Intel Alchemist+ Architecture, Updated Intel Battlemage Architecture, Added AMD A620 Chipset, Updated PCI-Express 5.0 SSDs, Removed launched products: AMD Ryzen 7000 non-X, Intel Core i9-13900KS, Raptor Lake non-K CPUs, Intel Sapphire Rapids / HEDT, NVIDIA GeForce RTX 4070 Ti, Intel Ponte Vecchio, Intel W790, Micron 232-layer 3D NAND Flash, USB 4.0 www.techpowerup.com/295983/amd-ryzen-7000-zen-4-launch-date-and-lineup-revealed-spectacular-am4-rumor-surfaces

www.techpowerup.com/266368/samsung-to-commence-5nm-euv-mass-production-in-q2-2020-develop-3nm-gaafet-node www.techpowerup.com/295712/amd-cdna3-architecture-sees-the-inevitable-fusion-of-compute-units-and-x86-cpu-at-massive-scale New instructions for AI, AVX VNNI with INT8/INT16, AVX-IFMA and AVX-NE Convert. SHA512, SM3 and SM4 # Aug 25th: Updated AMD Zen 4 / Ryzen 7000, Updated Intel Raptor Lake, Updated Intel Meteor Lake, Updated NVIDIA Ada / Lovelace, Updated AMD Navi 31 / 32 / 33, Updated AMD CDNA 3, Updated Intel Arc A580, A750 & A770, Updated Intel Ponte Vecchio, Updated TSMC 3 nanometer, Updated PCIe 5.0 SSDs, Added Hynix 238-layer NAND, Updated Micron 232-layer NAND, Removed launched products: Intel DG2 discrete GPU / Arch Alchemist, Intel Arc Pro www.techpowerup.com/281550/amd-zen-5-strix-point-processors-rumored-to-feature-big-little-core-design

Apr 6th: Updated AMD Zen 4 APUs, Updated AMD Zen 4 Threadripper, Updated AMD Zen 5 / Ryzen 8000, Updated Intel Emerald Rapids, Updated Intel Sierra Forest, Added Intel Clearwater Forest, Updated NVIDIA RTX 4050, Updated NVIDIA RTX 4060 Ti, Updated NVIDIA RTX 4070, Updated Intel Battlemage, Updated Intel Celestial, Added Kioxia 218-layer NAND, Added Hynix 300-layer NAND, Removed launched products: AMD A620 chipset Granite Rapids AP supports up to 2 CPUs, 12 channels DDR5 per CPU, DDR5 6400 MT/s 1 DPC / 5200 MT/s 2 DPC, 24 DIMMs per CPU #

www.techpowerup.com/279472/intel-lunar-lake-microarchitecture-hits-the-radar-possible-meteor-lake-successor www.techpowerup.com/301057/zen-4-x3d-limited-to-8-core-and-6-core-no-meteor-lake-in-2023-frosty-year-expected-for-cpu-market AI/ML enhancements, possibly Xilinx IP-based fixed function hardware, called AIE (AI inference accelerator) www.techpowerup.com/287564/samsung-introduces-industrys-first-open-source-software-solution-for-cxl-memory-platform www.techpowerup.com/284210/samsung-5-nm-node-struggles-with-yields-reports-indicate-less-than-50-yieldingMay 5th: Updated AMD Zen 5 / Ryzen 8000 Series, Added AMD Zen 5 Threadripper / Threadripper 8000, Added AMD Zen 6, Updated Intel Meteor Lake, Updated Intel Emerald Rapids, Updated NVIDIA GeForce RTX 4060 Ti, Added AMD Radeon RX 7500 XT, Updated AMD Radeon RX 7600 & 7600 XT, Updated Radeon RX 7700 XT, Added Radeon RX 7800 XT, Added Radeon RX 7950 XT & XTX, Updated Intel Battlemage GPU Architecture, Updated Intel Celestial GPU Architecture, Updated HBM3 Graphics Memory, Updated Samsung 4 nm, Updated Samsung 3 nm, Removed launched products: GeForce RTX 4070, PCIe 5.0 SSDs

According to a newer report, both Samsung and TSMC are struggling with yields for their 3 nm node #Dec 9th: Updated AMD Zen 4 Ryzen 7000 non-X CPUs, Updated AMD Zen 4 Ryzen 7000X3D CPUs, Added Zen 4 Threadripper, Added Intel Raptor Lake non-K 13th Gen CPUs, Updated Intel Core i9-13900KS, Updated Sapphire Rapids HEDT, Updated Intel Meteor Lake, Updated Intel Ponte Vecchio, Updated GeForce RTX 4060, Updated GeForce RTX 4070, Added GeForce RTX 4070 Ti, Updated Radeon RX 7600 XT and Radeon RX 7700 XT, Updated Micron 232-Layer NAND, Updated GDDR7 graphics memory, Added GDDR6W Graphics Memory www.techpowerup.com/295709/amd-announces-the-zen-5-microarchitecture-and-epyc-turin-processor-on-4nm www.techpowerup.com/293875/tsmcs-n3e-node-said-to-have-good-yields-volume-production-expected-q2-2023

Asda Great Deal

Free UK shipping. 15 day free returns.
Community Updates
*So you can easily identify outgoing links on our site, we've marked them with an "*" symbol. Links on our site are monetised, but this never affects which deals get posted. Find more info in our FAQs and About Us page.
New Comment